23 September 2011

Semi: GF Tech Conference:: Macquarie Research,

Please Share:: Bookmark and Share India Equity Research Reports, IPO and Stock News
Visit http://indiaer.blogspot.com/ for complete details �� ��


Semi: GF Tech Conference
Event
 We attended the GlobalFoundries (GF) Technology Conference today in Hsinchu,
Taiwan. Here are the key takeaways and our thoughts.
Impact
 32nm Gate-First HKMG in volume shipment. GF stated that it has finally
overcome its technical hurdles regarding 32nm Gate-First HKMG and become
the first foundry to start volume shipments. However, we find this statement
partly misleading as GF’s 32nm Gate-First HKMG shipments are all for AMD,
which is using SOI (silicon on insulator), a technology that is not applicable to
general foundry customers. 28nm HKMG is GF’s offering for its general
foundry customers, which won’t be ready until 2H12.
 28nm Gate-First HKMG volume production in 2H12. GF has three
offerings for its 28nm HKMG: 1) SLP (super-low power, aimed for wireless
and mobile computing), 2) HPP (high performance-plus, aimed for highperformance
computing) and 3) LPH (low power, high performance, aimed for
mobile, high-performance computing). The management indicated that 28nm
risk production is scheduled for 1H12 with volume production in 2H12. This
echoes our view that GF’s 28nm is about one year behind TSMC’s and its
capacity won’t become effective until next year end at the earliest; hence
TSMC should dominate the 28nm market in 2012.
 Fab sync with Samsung on 28nm SLP & LPH. The company has extended
its collaboration with Samsung to 28nm SLP and LPH offerings, to provide a
synchronized platform of technologies (ie IPs, cell libraries) so customers can
have one design and be able to manufacture at any one of the four fabs of GF
(Germany and New York) and Samsung (Korea and Texas) without redesign,
in order to mitigate supply chain risks. We believe this strategy works well in
theory but less effective in reality as switching between fabs would take time,
from months to up to one-two quarters to reach desired yield, depending on
product design, foundry/customer resources and experiences.
Recommendations
 While GF is aggressively expanding its capacity, we believe execution
remains the key to the company’s potential success given its poor track
record from Chartered Semi and AMD, not to mention the challenges it faces
with business and culture integration. More importantly, as we highlighted
previously, GF’s switch to Gate-Last HKMG at 20nm from Gate-First at 28nm
due to scalability issues, could deter strong commitment from customers,
thereby making TSMC the primary foundry for 28nm for most customers.
 Bears on the street recently have highlighted the risk of TSMC not winning
Apple’s A6 biz in 2012 due to lack of capacity and lower margins. Our industry
checks indicate that TSMC is still working on the project but due to issues in
system integration (ie memory integration, TSV, logistics planning and
development collaboration with memory vendors) the progress/yield rate is
not meeting expectations and hence is unlikely to receive a meaningful
allocation of A6 in 2012 due to timing. Nonetheless, we believe the potential
downside risks to revenue/earnings should be manageable as most street
analysts have either not factored in the A6 contribution or incorporated only a
small contribution estimate (2-3% of 2012 revenue). We believe TSMC (2330
TT, NT$67.20, Outperform, TP: NT$83.00) is one of the key beneficiaries of
the smartphone volume trend and the rise of ARM in computing, which should
provide healthy, above-industry secular growth in 2012-13, thanks to its lead
in cutting edge technology and execution. Maintain Outperform.

No comments:

Post a Comment